Re[16]: Расскажите профессионально, чем Java разработка быс
От: VladD2 Российская Империя www.nemerle.org
Дата: 05.06.07 23:48
Оценка:
Здравствуйте, Андрей Хропов, Вы писали:

АХ>Проблема в том, что в .NET-языках нет семантики владения для ссылочных типов.


Это не проблема. Это приемущество.

АХ>Если у нас есть член класса


АХ>
АХ>private SomeClass _member;
АХ>


АХ>то нам, вообще говоря, не ясно является этот член разделяемым объектом или же он принадлежит данному классу (и при клонировании его надо клонировать).


А что там думать то? Есть метод Clone — вызывай его. Нет? Ну, что поделать? Значит или автор объекта дурак, или он считает его неизменяемым, а значит и не требующим клонирования.

АХ> Тут уже придется разбираться в каждом конкретном случае.


Есть такое понятие "стратегия". Когда ты пишешь код ты должен придерживаться некой стратегии. Небывает так, чтобы тебе нужно было засунуть в иерархию случайные объекты.

АХ>В С++ же проще:

АХ>
АХ>MyClass1                                         _member1; // этим мы владеем
АХ>boost::shared_ptr<MyClass2> _member2; // этот мы разделяем
АХ>


Ты меня извини, но boost::shared_ptr ты ведь сам написал? Откуда взялась информаци, что "этот мы разделяем"? Это та же стратегия, только ты ее в данном случае вручную отрабатываешь. Это называется "закат солнца вручную".

Корче, не пудрите мне мозг недоязком вроде С++. Нет в нем нихрена ценного кроме скорости компилтяоров.
... << RSDN@Home 1.2.0 alpha rev. 637>>
Есть логика намерений и логика обстоятельств, последняя всегда сильнее.
Re[17]: Расскажите профессионально, чем Java разработка быс
От: iZEN СССР  
Дата: 06.06.07 07:33
Оценка:
Здравствуйте, VladD2, Вы писали:

VD>Корче, не пудрите мне мозг недоязком вроде С++. Нет в нем нихрена ценного кроме скорости компилтяоров.


Да и скорости компиляторя, честно говоря, тоже нет.
Javac работает гораздо быстрее.
Re[18]: Расскажите профессионально, чем Java разработка быс
От: VladD2 Российская Империя www.nemerle.org
Дата: 06.06.07 21:58
Оценка:
Здравствуйте, iZEN, Вы писали:

ZEN>Да и скорости компиляторя, честно говоря, тоже нет.

ZEN>Javac работает гораздо быстрее.

Я не верно выразился. Я говорил о скорсоти кода который порождает компилятор.
... << RSDN@Home 1.2.0 alpha rev. 637>>
Есть логика намерений и логика обстоятельств, последняя всегда сильнее.
Re[13]: Расскажите профессионально, чем Java разработка быс
От: Gajdalager Украина  
Дата: 07.06.07 08:22
Оценка:
Здравствуйте, VladD2, Вы писали:

VD>Я тут вынужден был написать несколько строк на Дельфи 7. Это привело к тому, что я вспомнил все "прелести" неуправляемого мира (в дельфи еще и деструкторов автоматичеких нет). Скажу честно — это ужасно. Поубивал бы тех дебилов которые детей учат на Дельфи программировать в институтах.


А можно текст задачки? Мне чисто так, для себя, поржать (с) Гадя Хренова
Re[14]: Расскажите профессионально, чем Java разработка быс
От: VladD2 Российская Империя www.nemerle.org
Дата: 07.06.07 11:45
Оценка:
Здравствуйте, Gajdalager, Вы писали:

VD>>Я тут вынужден был написать несколько строк на Дельфи 7. Это привело к тому, что я вспомнил все "прелести" неуправляемого мира (в дельфи еще и деструкторов автоматичеких нет). Скажу честно — это ужасно. Поубивал бы тех дебилов которые детей учат на Дельфи программировать в институтах.


G>А можно текст задачки? Мне чисто так, для себя, поржать (с) Гадя Хренова


Задач было несколько в основном их текст не сохранился (он лично мне не нужен). Одна из задачей вот:

4.2.6
Задача на разработку перограммы с использованием созданной по условию процедуры, составить программу с разработкой и использоваинем
указанной в задании процедуры.

В матрице А(3 стр, 5 стл) поменять местами наименьшие элементы во второй и третьей строке. Для поиска номера наименьшей элемента в
произвольной строке матрицы использовать процедуру.

И решение:
program Project1;

{$APPTYPE CONSOLE}

uses
  SysUtils;

type
  ElemType   = Integer; // Абстрагируемся от типа элемента массива

// Находит индекс минимального элемента одномерного массива.
procedure IndexOfMinElem(const ary : array of ElemType; var index : Integer);
  var min : ElemType;
  var minIndex, i : Integer;
begin
  // По-умолчанию считаем, что минимальный элемент - это первый элемент массива.
  minIndex := Low(ary);
  min      := ary[minIndex];

  // Перебираем элементы массива за исключением первого элемента...
  for i := Low(ary) + 1 to High(ary) do
    // ... и проверяем не является ли он меншим нежели минимальный элемент найденный до этого.
    if ary[i] < min then begin
      // Если текущий элемент меньше минимального, то запоминаем его индекс и значение
      // в перменных minIndex и min соотвественно.
      minIndex := i;
      min := ary[i];
    end;

  index := minIndex; // возвращаем индекс наименьшего элемента массива.
end;

// Обменивает значение параметра месчтами. var позволяет нам менять
// содержимое значений в исходных перменных или ячейках массива, а ни их копиях.
procedure Swap(var x : ElemType; var y : ElemType);
  var temp : ElemType;
begin
  temp := x;
  x := y;
  y := temp;
end;

// Матрица 3 х 5.
var matrix : array[1..3, 1..5] of ElemType;

// Эта процедура печатает сообщение и текущее содержимое матрицы.
procedure PrintMatrix(msg : string);
  var i, k : Integer;
begin
  WriteLn(msg); // Печатаем сообщение
  // Перебираем все строки матрицы
  for i := Low(matrix) to High(matrix) do begin
    // Перебираем все колонки матрицы
    for k := Low(matrix[Low(matrix)]) to High(matrix[Low(matrix)]) do begin
      // Печатаем элемент матрицы.
      Write(matrix[i, k]);
      Write(' ') // Печатаем разделяющий пробел.
    end;

    WriteLn(''); // Печатаем разделяющий конец строки.
  end;

  WriteLn('');
end;


var indexOfMinElemInLine2, indexOfMinElemInLine3 : Integer;

begin
  // Инициализируем матрицу некоторыми значениями
  matrix[1, 1] := 5; matrix[1, 2] := 2; matrix[1, 3] := 7; matrix[1, 4] := 3; matrix[1, 5] := 9;
  matrix[2, 1] := 9; matrix[2, 2] := 4; matrix[2, 3] := 8; matrix[2, 4] := 7; matrix[2, 5] := 5;
  matrix[3, 1] := 4; matrix[3, 2] := 3; matrix[3, 3] := 7; matrix[3, 4] := 9; matrix[3, 5] := 1;

  PrintMatrix('Before swap:'); // Печатаем исходное содержимое матрицы

  // Получаем индекс минимального значения для второй строки
  IndexOfMinElem(matrix[2], indexOfMinElemInLine2);
  // Функция IndexOfMinElem возвращает индекс отсчитываеймй с нуля,
  // так что для его коррекции нужно к нему прибавить индекс нижнего элемента.
  indexOfMinElemInLine2 := indexOfMinElemInLine2 + Low(matrix[2]);
  // Получаем индекс минимального значения для третьей строки
  IndexOfMinElem(matrix[3], indexOfMinElemInLine3);
  indexOfMinElemInLine3 := indexOfMinElemInLine3 + Low(matrix[3]);
  // Производим обмен значений найденных ячеек.
  Swap(matrix[2, indexOfMinElemInLine2], matrix[3, indexOfMinElemInLine3]);

  PrintMatrix('After swap:'); // Печатаем содержимое матрицы после перестоновки минимальных значений

  ReadLn;
end.
... << RSDN@Home 1.2.0 alpha rev. 637>>
Есть логика намерений и логика обстоятельств, последняя всегда сильнее.
Re[15]: Расскажите профессионально, чем Java разработка быс
От: OdesitVadim Украина  
Дата: 07.06.07 12:06
Оценка:
Здравствуйте, VladD2, Вы писали:


VD>В матрице А(3 стр, 5 стл) поменять местами наименьшие элементы во второй и третьей строке. Для поиска номера наименьшей элемента в

VD>произвольной строке матрицы использовать процедуру.[/q]
Задачка на пять минут.
Кстати, делфи — это не шарп. теги форматирования неверные
VD>И решение:
VD>
VD>program Project1;

[scip]
//это единственная абстракция в этом коде.
VD>type
VD>  ElemType   = Integer; // Абстрагируемся от типа элемента массива

VD>// Находит индекс минимального элемента одномерного массива.
//Тут лучше функцию использовать. Не надо так слепо верить условию. Хотя эту процедуру можно оптимальней написать.
VD>procedure IndexOfMinElem(const ary : array of ElemType; var index : Integer);
//Двойной var ? зачем?
VD>  //var min : ElemType;
VD>  var minIndex, i : Integer;
VD>begin
VD>  // По-умолчанию считаем, что минимальный элемент - это первый элемент массива.
VD>  minIndex := Low(ary);
VD>  //min      := ary[minIndex];

VD>  // Перебираем элементы массива за исключением первого элемента...
VD>  for i := Low(ary) + 1 to High(ary) do
VD>    // ... и проверяем не является ли он меншим нежели минимальный элемент найденный до этого.
VD>    if ary[i] < ary[minIndex] then //begin
VD>      // Если текущий элемент меньше минимального, то запоминаем его индекс и значение
VD>      // в перменных minIndex и min соотвественно.
VD>      minIndex := i;
VD>      //min := ary[i];
VD>    //end;

VD>  index := minIndex; // возвращаем индекс наименьшего элемента массива.
VD>end;
[scip]
Вот за это - по пальцам
VD>// Матрица 3 х 5.
VD>//var matrix : array[1..3, 1..5] of ElemType;
надо так
const 
   M_COL = 3;
   M_ROW = 5;
   var matrix : array[1..M_COL, 1..M_ROW] of ElemType;
     
VD>// Эта процедура печатает сообщение и текущее содержимое матрицы.
VD>procedure PrintMatrix(msg : string);
VD>  var i, k : Integer;
VD>begin
VD>  WriteLn(msg); // Печатаем сообщение
VD>  // Перебираем все строки матрицы
//тут теперь можно поставить константы, а не изврат с функций.
VD>  for i := Low(matrix) to M_COL do begin
VD>    // Перебираем все колонки матрицы
VD>    for k := Low(matrix[Low(matrix)]) to M_ROW do begin
VD>      // Печатаем элемент матрицы.
VD>      Write(matrix[i, k]);
VD>      Write(' ') // Печатаем разделяющий пробел.
VD>    end;

VD>    WriteLn(''); // Печатаем разделяющий конец строки.
VD>  end;

VD>  WriteLn('');
VD>end;


VD>var indexOfMinElemInLine2, indexOfMinElemInLine3 : Integer;

VD>begin
[scip]

VD>  ReadLn;
VD>end.
VD>

Но глобальный массив порадовал. Мне бы в своё время за этот код поушам надавали...
... << RSDN@Home 1.1.4 stable SR1 rev. 568>>
Re[16]: Расскажите профессионально, чем Java разработка быс
От: VladD2 Российская Империя www.nemerle.org
Дата: 07.06.07 12:58
Оценка:
Здравствуйте, OdesitVadim, Вы писали:

OV>Задачка на пять минут.

Ну, на 10, но надо учитывать еще трах с массивами который возник совершеено не кстати то, что я Дельфи последний раз видел в 1998-ом, и то, что хэлп фактически не работал и пришлось заниматься танцами в присядку.

Другие задачки заняли куда больше времени.

OV>Кстати, делфи — это не шарп. теги форматирования неверные


Да, привычка. У меня этот тег на шорткате .

OV>Но глобальный массив порадовал. Мне бы в своё время за этот код поушам надавали...


Плевать. Задачу это решает. В условиях нигде не указано каким он должен быть. Откровенно говоря я так и не понял как корректно передать статически объявленную матрицу в качестве параметар (чтобы передать ее в универсальную процедуру печати), плюнул и сделал его глобальным.

А вообще, в задаче просто убило, что поиск нужно сделать процедурой, а не функцией. Извращенцы, блин.

ЗЫ

Это, кстати, еще пример довольно внятно сформулирован. Другие были вообще бредовые. Так что за такое обучение нужно руки ампутировать.
... << RSDN@Home 1.2.0 alpha rev. 637>>
Есть логика намерений и логика обстоятельств, последняя всегда сильнее.
Re[16]: Расскажите профессионально, чем Java разработка быс
От: VladD2 Российская Империя www.nemerle.org
Дата: 07.06.07 13:02
Оценка:
Здравствуйте, OdesitVadim, Вы писали:

OV>Вот за это — по пальцам

VD>>// Матрица 3 х 5.
VD>>//var matrix : array[1..3, 1..5] of ElemType;
OV>надо так
OV>const
OV> M_COL = 3;
OV> M_ROW = 5;
OV> var matrix : array[1..M_COL, 1..M_ROW] of ElemType;

Предраться что ли было не к чему?

Какая разница? Я вот за такое наименование по пальцам бы двавал.
А вообще, такой идиотизм как фиксированные матрицы обычно только в примерах и встречается. В рельной жизни все обычно динамическое.
... << RSDN@Home 1.2.0 alpha rev. 637>>
Есть логика намерений и логика обстоятельств, последняя всегда сильнее.
Re[17]: Расскажите профессионально, чем Java разработка быс
От: OdesitVadim Украина  
Дата: 07.06.07 13:10
Оценка:
Здравствуйте, VladD2, Вы писали:

OV>>Но глобальный массив порадовал. Мне бы в своё время за этот код поушам надавали...


VD>Плевать. Задачу это решает. В условиях нигде не указано каким он должен быть.

Вот это самое большое заблуждение. Если это было сказано к реальной задаче — заклевали бы. А люди учаться на простых задачах, вырабатывается привычка. А потом и кодят.
VD>Откровенно говоря я так и не понял как корректно передать статически объявленную матрицу в качестве параметар (чтобы передать ее в универсальную процедуру печати), плюнул и сделал его глобальным.

ну есть два варианта.
первый — передать массив так
procedure proc(a:array of array of tipelem);

теперь в коде вовсю будут работать функции low и high
или другой вариант
type
  TMyArr = array[1..col,1..row] of tipelem;
var a:TMyArr;
procedure proc(x:TMyArr);

а так писать нельзя
procedure proc(a:array[1..col,1..row] of tipelem);


VD>А вообще, в задаче просто убило, что поиск нужно сделать процедурой, а не функцией. Извращенцы, блин.


VD>ЗЫ


VD>Это, кстати, еще пример довольно внятно сформулирован. Другие были вообще бредовые. Так что за такое обучение нужно руки ампутировать.

Нет, просто мы стоим на другом уровне сознания (понимания задач). Я видел несколько преподов, которые такие вещи толкают — супер. А студенты их непонимают. Причина — препод не умеет опуститься до уровня студента, а потом за уши подтянуть. Ведь в этом их задача.
... << RSDN@Home 1.1.4 stable SR1 rev. 568>>
Re[18]: Расскажите профессионально, чем Java разработка быс
От: VladD2 Российская Империя www.nemerle.org
Дата: 07.06.07 21:48
Оценка: +1
Здравствуйте, OdesitVadim, Вы писали:

OV>ну есть два варианта.

OV>первый — передать массив так
OV>
OV>procedure proc(a:array of array of tipelem);
OV>

OV>теперь в коде вовсю будут работать функции low и high

Агащазблин. Я по логике конечно так и должно быть. Но на практике если написать:
procedure PrintMatrix(msg : string; matrix : array of array of ElemType);

то получаешь:
(блин, в этой дертмовой среде даже сообщение об ошибке скопировать нельзя )

Identifier expected but 'ARRAY' found

Задница, в общем.

OV>или другой вариант

OV>
OV>type
OV>  TMyArr = array[1..col,1..row] of tipelem;
OV>var a:TMyArr;
OV>procedure proc(x:TMyArr);
OV>

OV>а так писать нельзя
OV>
OV>procedure proc(a:array[1..col,1..row] of tipelem);
OV>


Хащазблинещераз. Как же. Это я тоже пробовал. При попытке передать матрицу в процедуру где параметр описан как:
type
  ElemType   = Integer; // Aano?aae?oainy io oeia yeaiaioa ianneaa
  TMyArr = array[1..3,1..5] of ElemType;
...
procedure PrintMatrix(msg : string; const matrix : TMyArr);
...
PrintMatrix('Before swap:', matrix); // Ia?aoaai enoiaiia niaa??eiia iao?eou

получаем:

Incompatible types: 'TMyArr' and 'Array'

Маразм, вобщем.

И это при том, что на Шарпе или Немреле подобных проблем нет как класс.

OV>Нет, просто мы стоим на другом уровне сознания (понимания задач). Я видел несколько преподов, которые такие вещи толкают — супер. А студенты их непонимают. Причина — препод не умеет опуститься до уровня студента, а потом за уши подтянуть. Ведь в этом их задача.


Какой к черту другой уровень? Если задачу предназначенную для первакурсников не могут понять два взрослых мужика-программиста, то о чем еще можно говорить?
... << RSDN@Home 1.2.0 alpha rev. 637>>
Есть логика намерений и логика обстоятельств, последняя всегда сильнее.
Re[19]: Расскажите профессионально, чем Java разработка быс
От: OdesitVadim Украина  
Дата: 08.06.07 07:34
Оценка:
Здравствуйте, VladD2, Вы писали:
[scip]
VD>Агащазблин. Я по логике конечно так и должно быть. Но на практике если написать:
VD>
VD>procedure PrintMatrix(msg : string; matrix : array of array of ElemType);
VD>

VD>то получаешь:
VD>(блин, в этой дертмовой среде даже сообщение об ошибке скопировать нельзя )
Промазал.С одномерными — можно так. Да и в Си и им подобным передать двомерный масив, не указывая ниодной размерности — тоже проблема.
VD>

Identifier expected but 'ARRAY' found

VD>Задница, в общем.
А вы пробывали? всё можно! просто нужно выбрать сообщение и нажать Ctrl+C. Незнаете — не ругайте.
OV>>или другой вариант
OV>>
OV>>type
OV>>  TMyArr = array[1..col,1..row] of tipelem;
OV>>var a:TMyArr;
OV>>procedure proc(x:TMyArr);
OV>>

OV>>а так писать нельзя
OV>>
OV>>procedure proc(a:array[1..col,1..row] of tipelem);
OV>>


VD>Хащазблинещераз. Как же. Это я тоже пробовал. При попытке передать матрицу в процедуру где параметр описан как:

VD>
VD>type
VD>  ElemType   = Integer; // Aano?aae?oainy io oeia yeaiaioa ianneaa
VD>  TMyArr = array[1..3,1..5] of ElemType;
VD>...
VD>procedure PrintMatrix(msg : string; const matrix : TMyArr);
VD>...
VD>PrintMatrix('Before swap:', matrix); // Ia?aoaai enoiaiia niaa??eiia iao?eou
VD>

VD>получаем:
VD>

Incompatible types: 'TMyArr' and 'Array'

VD>Маразм, вобщем.
А вот это странно. Хотя если переменная matrix не была обявленна как TMyArr, (судя с ошибки — это так и есть) то вполне логично. Делфи тут прикапывается, так как обявленный через type новый тип не совместим со старым.
VD>И это при том, что на Шарпе или Немреле подобных проблем нет как класс.
Ну да, там всё классы.
OV>>Нет, просто мы стоим на другом уровне сознания (понимания задач). Я видел несколько преподов, которые такие вещи толкают — супер. А студенты их непонимают. Причина — препод не умеет опуститься до уровня студента, а потом за уши подтянуть. Ведь в этом их задача.

VD>Какой к черту другой уровень? Если задачу предназначенную для первакурсников не могут понять два взрослых мужика-программиста, то о чем еще можно говорить?

О том что эти два взрослых мужика-программиста умеют решать сложные задачи, но не там языке. Это всё равно, что вы сейчас сочинение на украинском писать начнёте. Вроде и знаете, что язык подобен русскому, а нет, есть подковырки. Так и здесь. (я не хотел разжигать межнациональные споры этой фразой)
... << RSDN@Home 1.1.4 stable SR1 rev. 568>>
Re[20]: Расскажите профессионально, чем Java разработка быс
От: VladD2 Российская Империя www.nemerle.org
Дата: 08.06.07 11:36
Оценка: -1
Здравствуйте, OdesitVadim, Вы писали:

OV>Промазал.С одномерными — можно так. Да и в Си и им подобным передать двомерный масив, не указывая ниодной размерности — тоже проблема.


В С таких проблем нет, так как нет (по сути) массивов. Там двумерный массив всегда передается как TYPE**. В C# это будет или TYPE[][] (массив массивов), или TYPE[,] (реальная матрица). Проблем с их передачей нет. Так что не надо наговаривать.

VD>>

Identifier expected but 'ARRAY' found

VD>>Задница, в общем.
OV>А вы пробывали? всё можно! просто нужно выбрать сообщение и нажать Ctrl+C. Незнаете — не ругайте.

Пробовал. Не помогает.

VD>>

Incompatible types: 'TMyArr' and 'Array'

VD>>Маразм, вобщем.
OV>А вот это странно. Хотя если переменная matrix не была обявленна как TMyArr, (судя с ошибки — это так и есть) то вполне логично. Делфи тут прикапывается, так как обявленный через type новый тип не совместим со старым.

Маразм — это. Мааараазм! В языке нет способа передать матрицу в качестве массива, а описать ее таки можно. За такое проектирование нужно с работы выгонять.

VD>>И это при том, что на Шарпе или Немреле подобных проблем нет как класс.

OV>Ну да, там всё классы.

На самом деле — нет. Массвы встроены в CLR, т.е. поддерживаются рантаймом и JIT-ом напрямую. ОО-форму они принимают так как язык эмулирует это поведение.

Да и пофигу это. Главное, что все логично и удобно. А в Дельфи это сделано просто через задницу. И если я это еще могу понять и попытаться найти обходной путь, то первокурсник просто подумает, что он дурак и не может справиться с задачей.

Внимание, вопрос! Кому на фиг нужно такое обучение? Мы специально недоучек клепаем? Или чем больше трудностей тем лучше?

OV>О том что эти два взрослых мужика-программиста умеют решать сложные задачи, но не там языке. Это всё равно, что вы сейчас сочинение на украинском писать начнёте. Вроде и знаете, что язык подобен русскому, а нет, есть подковырки. Так и здесь. (я не хотел разжигать межнациональные споры этой фразой)


Повторяю последний раз. Вопросы сформулированы не корректно. В них присуствуют неоднозначности. Языки тут не причем. После слов "воспользуйтесь матрицей или двумя массивами" я тихо охренел, так как не могу понять как матрица может быть эмулирована двумя массивами. И так там везде.

В общем, не надо пытаться найти недостатки во мне. Просто нужно усвоить два факта. 1. Те кто придумывал эти задачи бараны. 2. Дельфи хреновый инструмент для подобных задач.
... << RSDN@Home 1.2.0 alpha rev. 637>>
Есть логика намерений и логика обстоятельств, последняя всегда сильнее.
Re[18]: Расскажите профессионально, чем Java разработка быс
От: VladD2 Российская Империя www.nemerle.org
Дата: 08.06.07 11:36
Оценка:
Здравствуйте, OdesitVadim, Вы писали:

OV>Вот это самое большое заблуждение. Если это было сказано к реальной задаче — заклевали бы. А люди учаться на простых задачах, вырабатывается привычка. А потом и кодят.


Люди учатся процедуры вызвать. Им не до высоких материй. Понятно, что в реальных проектах такой фигн быть не должно. Но и Делфи в реальных проектах тоже вряд ли уже встретится. А значит и проблем с массивами не будет.
... << RSDN@Home 1.2.0 alpha rev. 637>>
Есть логика намерений и логика обстоятельств, последняя всегда сильнее.
Re[21]: Расскажите профессионально, чем Java разработка быс
От: Lloyd Россия  
Дата: 08.06.07 11:52
Оценка:
Здравствуйте, VladD2, Вы писали:

VD>В общем, не надо пытаться найти недостатки во мне. Просто нужно усвоить два факта. 1. Те кто придумывал эти задачи бараны. 2. Дельфи хреновый инструмент для подобных задач.


Вообще-то эти как ты выражаешься бараны в данный момент разрабатывают язык, которым пользуются миллионы. А вот VladD2 кроме громких криков о том, что все кругом бараны, пока что ничем не прославился. Что-то тут не так.
... << RSDN@Home 1.1.4 stable SR1 rev. 568>>
Re[22]: Расскажите профессионально, чем Java разработка быс
От: VladD2 Российская Империя www.nemerle.org
Дата: 08.06.07 11:59
Оценка:
Здравствуйте, Lloyd, Вы писали:

VD>>В общем, не надо пытаться найти недостатки во мне. Просто нужно усвоить два факта. 1. Те кто придумывал эти задачи бараны. 2. Дельфи хреновый инструмент для подобных задач.


L>Вообще-то эти как ты выражаешься бараны в данный момент разрабатывают язык, которым пользуются миллионы.


Скажи, то совсем невменяемый, или у тебя это так, временные помутнения рассудка? Читай вниматльно, что написано. (Бараны те кто придумывают задачи в наших институтах)

L> А вот VladD2 кроме громких криков о том, что все кругом бараны, пока что ничем не прославился. Что-то тут не так.


Как тебе сказать. Это твое мнение, и мне на него ср... плевааать. Можно было бы конечно поговорить о твоих достижениях, но мне и не тебя плевааать.
... << RSDN@Home 1.2.0 alpha rev. 637>>
Есть логика намерений и логика обстоятельств, последняя всегда сильнее.
Re[23]: Расскажите профессионально, чем Java разработка быс
От: Lloyd Россия  
Дата: 08.06.07 12:01
Оценка:
Здравствуйте, VladD2, Вы писали:

VD>>>В общем, не надо пытаться найти недостатки во мне. Просто нужно усвоить два факта. 1. Те кто придумывал эти задачи бараны. 2. Дельфи хреновый инструмент для подобных задач.


L>>Вообще-то эти как ты выражаешься бараны в данный момент разрабатывают язык, которым пользуются миллионы.


VD>Скажи, то совсем невменяемый, или у тебя это так, временные помутнения рассудка? Читай вниматльно, что написано. (Бараны те кто придумывают задачи в наших институтах)


L>> А вот VladD2 кроме громких криков о том, что все кругом бараны, пока что ничем не прославился. Что-то тут не так.


VD>Как тебе сказать. Это твое мнение, и мне на него ср... плевааать. Можно было бы конечно поговорить о твоих достижениях, но мне и не тебя плевааать.


Что-то тут не так. (с)
... << RSDN@Home 1.1.4 stable SR1 rev. 568>>
Re[21]: Расскажите профессионально, чем Java разработка быс
От: OdesitVadim Украина  
Дата: 08.06.07 12:09
Оценка:
Здравствуйте, VladD2, Вы писали:

VD>Здравствуйте, OdesitVadim, Вы писали:


OV>>Промазал.С одномерными — можно так. Да и в Си и им подобным передать двомерный масив, не указывая ниодной размерности — тоже проблема.


VD>В С таких проблем нет, так как нет (по сути) массивов. Там двумерный массив всегда передается как TYPE**.

Ага. Через одно место. Потом это дело надо назад приводить. Размерности помнить.
OV>>А вы пробывали? всё можно! просто нужно выбрать сообщение и нажать Ctrl+C. Незнаете — не ругайте.

VD>Пробовал. Не помогает.

Ну точно работает.
VD>Внимание, вопрос! Кому на фиг нужно такое обучение? Мы специально недоучек клепаем? Или чем больше трудностей тем лучше?
О, вы правильно копнули. плохие преподаватели. Но и студенты лентяи. А вот это действительно большая проблема. А потом такие люди пойдут в начальники.
OV>>О том что эти два взрослых мужика-программиста умеют решать сложные задачи, но не там языке. Это всё равно, что вы сейчас сочинение на украинском писать начнёте. Вроде и знаете, что язык подобен русскому, а нет, есть подковырки. Так и здесь. (я не хотел разжигать межнациональные споры этой фразой)

VD>Повторяю последний раз. Вопросы сформулированы не корректно.

Просто вы слишком умны для данных задач и ищете того, что там нет. Они рассчитаны на другой уровень.
VD>В них присуствуют неоднозначности. Языки тут не причем.
то у вас язык причём, то нипричём. определитесь.
VD>После слов "воспользуйтесь матрицей или двумя массивами" я тихо охренел, так как не могу понять как матрица может быть эмулирована двумя массивами. И так там везде.
мда,
Вариант раз. практически любая задача может решаться несколькими способами. Так что было предложено решить одним из вариантов. С отрывом от задачи эти слова — пустое место.
Но разве вам неизвестно, что матрица всегда может быть сэмулирована одномерным массивом? А вот если двумерный массив есть не прямоугольный, то тут для эмуляции как раз два массива и надо. В одном мы пишем данные, в другом — размерности. Да, после функциональных языком и высших материй сложно спуститься на грешную землю.
VD>В общем, не надо пытаться найти недостатки во мне.
Неискал
VD>Просто нужно усвоить два факта.
VD>1. Те кто придумывал эти задачи бараны.
В своё время я переводил методички (работал на кафедре) и паралельно разрабатывал решения задач. Так вот коректор (тётя такая) поправила моё решения, ибо ей умный дядя сказал, что оно неверно. В результате код получился зацыкленный. Неодин студент мучился потом.
VD>2. Дельфи хреновый инструмент для подобных задач.
нет плохих инструментов. Есть плохие мастера. Но они себя никогда не будут винить. Проще инструмент. он неживой, не ответит. (с) не мой.
... << RSDN@Home 1.1.4 stable SR1 rev. 568>>
Re[23]: Расскажите профессионально, чем Java разработка быс
От: OdesitVadim Украина  
Дата: 08.06.07 12:09
Оценка:
Здравствуйте, VladD2, Вы писали:


VD>Скажи, то совсем невменяемый, или у тебя это так, временные помутнения рассудка? Читай вниматльно, что написано. (Бараны те кто придумывают задачи в наших институтах)


Видимо в институте вас обидели. Сочувствую. Но мы здесь нипричём. По крайней мере я.
... << RSDN@Home 1.1.4 stable SR1 rev. 568>>
Re[24]: Расскажите профессионально, чем Java разработка быс
От: Lloyd Россия  
Дата: 08.06.07 12:17
Оценка: +1
Здравствуйте, OdesitVadim, Вы писали:

VD>>Скажи, то совсем невменяемый, или у тебя это так, временные помутнения рассудка? Читай вниматльно, что написано. (Бараны те кто придумывают задачи в наших институтах)


OV>Видимо в институте вас обидели. Сочувствую. Но мы здесь нипричём. По крайней мере я.


Да не, что-то видимо произошло недавно, т.к. раньше он был гораздо более "пушистым".
... << RSDN@Home 1.1.4 stable SR1 rev. 568>>
Re[24]: Расскажите профессионально, чем Java разработка быс
От: VladD2 Российская Империя www.nemerle.org
Дата: 08.06.07 12:28
Оценка:
Здравствуйте, Lloyd, Вы писали:

L>Что-то тут не так. (с)


Кто здесь?
... << RSDN@Home 1.2.0 alpha rev. 637>>
Есть логика намерений и логика обстоятельств, последняя всегда сильнее.
Подождите ...
Wait...
Пока на собственное сообщение не было ответов, его можно удалить.